Внимание: NUMERIC_STD.TO_INTEGER: metavalue открити

G

Guest

Guest
Внимание: NUMERIC_STD.TO_INTEGER: metavalue открити, връщайки 0

Моят modelsim е доклад, защо?
Как мога да го решим?
Plese ми помогне с някаква идея, благодаря много!

 
Ако Ур преминаване std_logic_vector като параметър на функция и ако to_integer
Ур std_log_vector съдържа X или Z ще генерира предупреждение получавате.

За да реши този начин е никога да не позволяват std_log_vector да съдържа други
стойност от 0 или 1.Или използвайте std_bit_vector!

 
Благодарение на nand_gates.

Четох една бележка в помощ Modelsim, което е
"Тези съобщения може да бъде изключена от определянето на променливата NumericStdNoWarnings до 1 от командния ред или в modelsim.ini файл."

Аз въртя на разстояние от нея в modelsim.ini файл, но това не засяга, защо?

 

Welcome to EDABoard.com

Sponsor

Back
Top